Altera

"Ormen" på en FPGA

Projektet avslutades för klassen ECE2220, vid University of Manitoba, under hösten 2015. Används under hela projektet är en Altera DE2 cyklonen IV ombord. Kodning görs med Verilog HDL via Quartus II.."Ormen" är ett enkelt spel där användaren sty...

Hinder undvika spel

För detta uppdrag vi gavs en Altera DE2-115 ombord med en cyklon IV E FPGA och berättade att skapa ett projekt som använder hårdvara av styrelsen och Verilog HDL språket som vi lärt oss i klassen. Vi trodde det skulle vara intressant att ha ett proje...

De4 FPGA tablet

The DE4 Tablet är en bärbar handdator designad av dator laboratoriet vid universitetet i Cambridge.Det använder en Terasic DE4 FPGA styrelse med en Altera Stratix IV FPGA. Den har en full uppsättning kringutrustning på DE4, samt ett batteri, pekskärm...

Altera styrelsen Metronome

Använda Verilog och styrelsens Altera DE2-115-projektet, har vi skapat en metronom med följande funktioner:-Användaren kan välja ett tempo mellan 60 och 230 slag per minut med styrelsens 18 växlar-Tempo visas med hjälp av de 8 gröna lysdioderna samt...

Quadroped Robot - (NIOSII församlingen)

först och främst tack till min partner i detta projekt; Yerusha Nuh. Vi fick arbeta några långa nätter, men vi fick det gjort :)Innan du kommer in detta instructable, en uttrycka av försiktigheten. Den lego byggande delen av detta instructable är int...

Altera CPLD Max II Blink ledde

Datablad-Material:-Leds-TrådFör 1 Led är verilog koden:modul ledblink(clk,led);ingående clk; produktionen ledde; REG ledde;REG [23:0] cnt;alltid clk) börja cnt < = cnt + 1' b1; ledde < = cnt [23].slutetendmoduleOm du behöver mer information om denna...

Altera DE2-115 hiss kontroll

Denna instruktion lär dig grunderna i hur man gör en hiss kontroll på brädan Altera DE2-115. Vi kommer att använda Verilog för att genomföra denna kontroll. Denna grundläggande kontroll tar två ingångar från användaren via två växlar, en för nuvarand...