Att komma igång med Xilinx Vivado w / Digilent Nexys 4 FPGA 1 - bygga flera ingångar och logik utfärda utegångsförbud (13 / 17 steg)
Steg 13: Lägga till villkoret fil
Gå till Digilent Nexys 4 hemsida och hämta xdc zip-filen
http://www.digilentinc.com/data/Products/NEXYS4/ne...
Spara i en katalog som du kan ha tillgång till och packa upp den
Under panelen project manager. Dubbelklicka på "Lägg till källa". Välj "Lägg till eller skapa villkor". Klicka på nästa
Klicka på Lägg till fil. Välj "Nexys4_Master.xdc". Klicka på Slutför
Expandera mappen tvång under källor panel och dubbelklicka på filen xdc
Avkommentera SW 0 till 3 genom att ta bort "#". De djärva delarna bör inte ha någon "#" i början
Banken = 34, Pin namn = IO_L21P_T3_DQS_34, Sch namn = SW0
set_property PACKAGE_PIN U9 [get_ports {sw [0]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [0]}] banken = 34, Pin namn = IO_25_34, Sch namn = SW1 set_property PACKAGE_PIN U8 [get_ports {sw [1]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [1]}] banken = 34, Pin namn = IO_L23P_T3_34, Sch namn = SW2 set_property PACKAGE_PIN R7 [get_ports {sw [2]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [2]}] banken = 34 , Pin namn = IO_L19P_T3_34, Sch namn = SW3 set_property PACKAGE_PIN R6 [get_ports {sw [3]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [3]}]
Avkommentera den led 0 genom att ta bort "#". Den djärva del bör inte ha någon "#" i början
Banken = 34, Pin namn = IO_L24N_T3_34, Sch namn = LED0
set_property PACKAGE_PIN T8 [get_ports {ledde [0]}] set_property IOSTANDARD LVCMOS33 [get_ports {ledde [0]}]