Projektet 1.2: Använda växlar till kontroll lysdioder (7 / 9 steg)
Steg 7: Skapa XDC fil
Kretsen har genomförts men Xilinx verktygen fortfarande behöver veta vilka fysiska stift på FPGA input och output portar mappas till. XDC filen kommer att ge verktyg för denna information. Välj din styrelse i den nedrullningsbara menyn att se filen XDC motsvarar din styrelse, som pin platser för LD0 och SW0 varierar från styrelsen till styrelsen.
1 set_property PACKAGE_PIN U9 [get_ports {sw}]
2 set_property IOSTANDARD LVCMOS33 [get_ports {sw}]
3 set_property PACKAGE_PIN T8 [get_ports {ledde}]
4 set_property IOSTANDARD LVCMOS33 [get_ports {ledde}]
Du hittar den plats du behöver från schematiskt av brädan FPGA, eller du kan hämta den master XDC för din styrelse från Digilent webbplats och kopiera motsvarande rader för detta steg.
Kom ihåg att filen XDC ansluter signalen du beskrev i din Astons fil till fysiska stift i styrelsen. Om signalen heter sw i Astons filen, måste sedan den fysiska stiftet namnges sw i filen .xdc.