Ställa in Microblaze i Nexys4 FPGA styrelsen (13 / 18 steg)
Steg 13: Lägga till restriktioner
21. expandera mappen "Begränsningar" under fliken "källor". Högerklicka och välj "Lägg till källor" (bild 13)
22. Välj "Lägg till eller skapa begränsningar" och tryck nästa.
23. Tryck "Lägg till filer" och navigera till där sparas filen "Nexys4_Master.xdc". Om du behöver denna fil, ladda ner det från http://www.digilentinc.com/Products/Detail.cfm?NavPath=2, 400, 1184 & Prod = NEXYS4
24. Tryck på OK och avsluta, kontrollerar den om du vill kopiera filen till din lokala projekt är markerad.
25. Öppna filen begränsningar, och avkommentera linjer: 8,9,10, 59,60, 194 och 195
26. ändra port namnen i dessa egenskaper för att matcha portnamn i din blockdiagram
set_property PACKAGE_PIN E3 [get_ports clock_rtl]
set_property IOSTANDARD LVCMOS33 [get_ports clock_rtl]
create_clock-Lägg till - namn sys_clk_pin-perioden 10,00 - vågform {0 5} [get_ports clock_rtl]
set_property PACKAGE_PIN P4 [get_ports reset_rtl_0]
set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl_0]
set_property PACKAGE_PIN E16 [get_ports reset_rtl]
set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl]
27. se till att spara filen uppdaterad .xdc (tryck Ctrl-S)