Bop med FPGA ombord (6 / 7 steg)

Steg 6: VHDL moduler beskrivningar

Timer Counter:

Timer beror på några variabler. Den viktigaste variabeln är en, som har talats om i finita tillståndsdatorn. Detta program är de program som ser till att efter viss cykel (i vårt fall 4), programmet avslutas. Om sv = 1, så modulen lägger ett till ett 3 bitars binära tal, som är initialt nolla på resningen av clk. annars räknaren återställs till noll. Det finns också en utgång ska visas om tiden är över eller om du har tryckt på rätt knapp.

Score Counter:

Funktionellt, läggs räknaren upp 1 till den totala poängen om den tar emot en signal om matchen. Annars poängen ligger med föregående poängen. Partituret anges ursprungligen till noll. Poängen är att återställs till noll i viloläge av finita tillståndsdatorn.

Komparator:

Motsvarigheten tar i två 3 bitars bussar och jämför dem till bussarna när enen är 1. En av bussar representerar är btns och den andra lysdioderna. Denna komparator i princip kontrollerar om överensstämmer btns och lysdioderna. Om de gör, det signal en match, annars inte match signal är att skicka. Om motsvarigheten ser att btn inte har press, det kommer inte att ge matcha eller inte matchar någon signal.

sseg_dec:

Koden har skapats av Bryan Mealy. Denna kod innehåller få komponenter. Det omvandlar binär till decimal, förvaltar sju segmenterar skärmar med indatavärdet, och det också innehålla en klocka avdelare.

Klockan Division:

Klockan divider koden har skrivits av Bryan Mealy. En klocka avdelare saktar ner eller ökar frekvensen av klockan. Vi fixade max värden för att matcha tidsramen / cykla vi letade efter. Vår modifierade klocka divider körs på en fjärdedel en andra period.

Avkodare:

Vi har bara en dekoder som tilldelas slumpmässigt 8 bitars värdet värden olika 3 bitar. 8-bitars nummer representerar slumpmässiga värdet får vi från slumpmässigt bitars generatorn att vi pratade mer om i Detaljer senare. 3 bitars numret representerar den LED som kommer att lysa upp.

LED Driver:

Denna modul var tänkt att vara drivrutinen för knapp och tempo indikatorn LED'S. Tempo LED helt enkelt kopieras långsammare klocksignalen från vår klocka avdelare och blixtrade i takt med spelet. Knappen indikatorn LED blinkar för två första av de fyra takterna visas av indikatorn tempo som den kopierade signalen från vår super långsam klocka (period fyra takterna).

Random-knappen väljaren:

Random-knappen väljaren att vi hade använt en pseudo random lite generator, skapad av en linjär återkoppling skiftregister (eller LFSR för kort). Denna LFSR är helt enkelt en kedja av d-flip flops med några utvalda flip-flop utgångar till XOR grindar som leder tillbaka till den första d-flip floppen. Denna kedja skapas en semi-slumpmässigt sekvens som kommer att upprepa sig någon gång (men inte som snabbt). Denna LFSR skapar ett slumpmässigt åttabitars binärt tal (i decimal, någonstans mellan 0 och 255), som vi sedan skickar till vår dekoder att välja en knapp. (Våra PRBG var modellerad efter Debashish Mohapatra 16-bitars PRBG, som finns herehttp://www.debashish.info/index.php/e-learning/vlsi-design/vhdl-codes/174-prbs-pseudo-random-binary-sequence-generator-vhdl-code-and-vhdl-testbench-creation)

Se Steg
Relaterade Ämnen

Lär dig Verilog: En kort Tutorial serie på Digital elektronik Design med FPGAs och Verilog HDL

Denna korta serie av semi korta lektioner om Verilog är tänkt som en introduktion till språket och förhoppningsvis uppmuntra läsarna att titta närmare på FPGA design.Dessa tutorials antar du är bekant med grundläggande logiska kretsar och logik ekvat...

Pong spel med fpga kit

Hej var och en. här delade jag projektet "pong spelet med fpga kit". FPGA är field programmable gate array. Det är en teknik att vi kan utforma någon digital enhet genom programmering. Det betyder i fpga kit fungerar som alla digitala enheter so...

Sondraket Avionics med FPGA

Hej alla rocketeer från oss,Mitt namn är Mert Kahyaoğlu och min vänner heter Emre Erbuğa vi är studenter vid Istanbuls tekniska universitet.Vi är medlem av ITU raket TEAM. ITU raket TEAM gick Intercollegiate raket Engineering tävlingar på juni 2015 (...

Adresserbara lysdioder på Arty FPGA ombord

Adresserbara lysdioder är roligt att lägga till alla projekt och kan nu för att läggas till någon Zynq eller Microblaze design. DigiLED FPGA IP-kärnan kan anpassas genom en enkel GUI och sedan skriva mönster till lysdioderna är enkelt med medföljande...

Anpassade hög hastighet DAQ system med FPGA

Självstudierna här beskriver en mycket effektiv metod att bygga en hög fart data förvärv styrelse. Detta projekt är en mycket billig lösning för att bygga ett digitalt oscilloskop som ett sätt att mäta vissa medicinska signaler. Genomförandet är fort...

LED musik Visualizer med Zybo ombord

Denna handledning kommer att beskriva hur man gör en LED musik Visualizer med Zybo Zynq 7000 utveckling styrelse från Xilinx. Zybo är ganska häftigt eftersom det har både en FPGA och en ARM-Processor i styrelsen. På grund av detta, kan anpassad maski...

Kaffe behållare med krita ombord märkning

jag ska starta stekning lite kaffe och jag trodde det skulle vara bra att göra några behållare för att förvara kaffet i. Jag valde att använda krita ombord färg så att som jag fick ny kaffe, jag enkelt kunde ändra informationen om kaffe. Även om denn...

Slutaren arrangör med krita ombord

det finns flera stora slutaren arrangör instructables, men jag ville ha en med något att skriva på. Detta är min första instructable, jag hoppas jag tog nog bilder. Njut!Steg 1: Steg 1: material • en trä fönsterluckor av önskad storlek (jag hittade d...

Upcycled Cartoon leksakslådan med krita ombord

Min sambo hade en gammal låda/säng Förvaringslåda i sitter i garaget som användes i princip sitta saker på som alltid i vägen. Som med många andra Instructables, jag beslutat att upcycle det och förvandla det till något nyttigt.Jag bestämde mig för d...

Komma igång med xilinx fpga

Field Programmable Gate matriser (FPGAs) är halvledarkomponenter som baseras på en matris av konfigurerbara logik block (CLB) ansluten via programmerbara interconnects. I denna tutorial ska jag förklara hur man programmerar Xilinx FPGAs använder en X...

Hur man styr en stegmotor med en FPGA

Detta instructable guidar dig genom processen och någon bakgrundskunskap om hur man får en stegmotor som arbetar på en FPGA. Specifikt Nema Stepper Motor, med Digilent Basys 3 och Digilent PmodSTEP.För närvarande är jag djupt i processen att göra en...

FPGA Robotics Vision för någon

Är du som mig intresserad av teknik och ville alltid att använda en av de tunga marker kallas FPGA'S? Är du intresserad av vision och robotics?Mitt mål var att ta FPGA vision till folket, tillgänglig för alla. Jag bestämde mig att bygga min egen grun...

MATIMI - övervakning och hjälpmedel teknik för personer med rörelsehinder

Som titeln antyder är kan detta projekt personer med motorisk funktionsnedsättning (partiell eller fullständig förlust av kontrollen över delar av kroppen till följd av sjukdom, trauma eller defekt) för att förvärva kontrollen över externa enheter oc...

Den nya-skolan (iPad, myRIO, LabVIEW) i kombination med den gamla skolan (MIDI, diskettenheter) att skapa det ultimata elektroniska bandet - myFloppyDriveOrchestra

Har några gamla skolan diskettenheter liggande? Få hacka! Omvandla dem till en elektronisk orkester!Att vara en av världens mest populära DIY tech projekt, är musikaliska diskettenheter ingenting nytt. MyFloppyDriveOrchestra innehåller dock flera uni...

De4 FPGA tablet

The DE4 Tablet är en bärbar handdator designad av dator laboratoriet vid universitetet i Cambridge.Det använder en Terasic DE4 FPGA styrelse med en Altera Stratix IV FPGA. Den har en full uppsättning kringutrustning på DE4, samt ett batteri, pekskärm...

Projektet 1.1: Introduktion till Digital teknik och FPGA styrelser

Detta är först i en rad projekt med Digilent material som skapats för EE214 vid Washington State University. Dock beslöt vi att vi ville göra dem mer tillgängligt för allmänheten, så jag skapar dem här. För mer Digilents pedagogiska material, se Lär...

FPGA Tic Tac Toe

"Tic Tac Toe? Vad är det? Jag har aldrig hört detta."-Ingen någonsinAv Ryan Frawley och Derek NguyenDenna guide visar dig hur man gör en arbetande Tic Tac Toe spel i VHDL på en Nexys 2 FPGA ombord. Denna handledning var gjort för en del av en Ca...

Säng ram med lagring

detta är en instructable efter tillverkning av en sängram byggde jag ett tag sedan. Ledsen för att inte vara mer informativa, men detta bör ge dig en god uppfattning om hur det är sammansatt. Detta handlade om en $250, helg projekt (om du faktor i vä...

Gitarr effekter Pedalboard & fallet med isolerad strömförsörjning

detta är kulmen på ett fåtal projekt att omorganisera alla mina pedaler i bärbara fall. Kraven för min bräda är följande:-Passar min 3 pedaler + tuner-Portabilitet-Drivna, utan Kedjekoppling av en läskig wallwart-Bypassable-Integrerad channel-växel-S...